J
jianhuachews
Guest
嗨,大家好..谁能帮我看看问题..? Modelsim的给了我这个错误,我的测试台.. [报价]#**错误:C:/用户/潮/桌面/ columncounter tb.vhd(20):信号“col_out类型ieee.std_logic_1164.STD_LOGIC_VECTOR”期待型ieee.NUMERIC_STD.UNSIGNED [/QUOTE]虽然我的程序代码可以编译...我不知道什么是错的!程序代码LIBRARY IEEE;使用IEEE.STD_LOGIC_1164.ALL,使用IEEE.STD_LOGIC_UNSIGNED.ALL;实体column_counter端口(col_out:std_logic_vector(3 downto 0); RST:std_logic; CLK:在std_logic);结束column_counter;架构column_counter行为是温度信号:std_logic_vector(3 downto 0);开始的过程(CLK)开始(rising_edge(CLK)),然后,如果(RST = '1'),然后温度'0',其他=> '1');其他温度(1)