时滞模型的VHDL

G

Guest

Guest
嗨大家,

让我知道你的意见

<img src="http://www.edaboard.com/images/smiles/icon_exclaim.gif" alt="感叹号" border="0" />
实体延迟是

通用(vartime:整数);
港口(strobe_delay_in:在锁相环;
strobe_delay_out:出锁相环);
为此延迟;

建筑的行为是延迟

开始

strobe_delay_out“=后vartime运输strobe_delay_in;为此行为;我想在我的实例有不同的部分VHDL语言这个模块可以这样做

<img src="http://www.edaboard.com/images/smiles/icon_question.gif" alt="问题" border="0" /><img src="http://www.edaboard.com/images/smiles/icon_question.gif" alt="问题" border="0" />

<img src="http://www.edaboard.com/images/smiles/icon_idea.gif" alt="理念" border="0" />

Inst_delay1:延迟港口地图(
strobe_delay_in =“DStrue,
vartime =“为35ns,
strobe_delay_out =“DStrue_delay);<img src="http://www.edaboard.com/images/smiles/icon_idea.gif" alt="理念" border="0" />

Inst_delay2:延迟港口地图(
strobe_delay_in =“DStrue,
vartime =“60纳秒,
strobe_delay_out =“DStrue_delay);
...........................

关于:请:

 
Do tej pory Microsoft korzystał głównie z usług tajwańskich producentów. Jednak dążenie do redukcji kosztów okazało się na tyle silne że spółka z Redmont postanowiła szukać oszczędności przenosząc swoją produkcję na drugą stronę Cieśniny Tajwańskiej czyli do Chin. Zgodnie z przewidywaniami chińska firma Truly Opto-electronics Ltd ma stać się główny...

Read more...
 
嗨,

与此代码,您信号DStrue_delay是由两个信号驱动的,我不认为你可以编译这个。

试试这个:

图书馆电机及电子学工程师联合会;
使用ieee.std_logic_1164.all;
使用ieee.std_logic_arith.all;

实体是反
结束反;

建筑的行为是反

信号快速:布尔值:=真;
信号DStrue,DStrue_delay:锁相环:= '0';
信号DStrue_fast,DStrue_slow:锁相环:= '0';

开始

为试验台- stuimuli
快“=交通不够快1日后我们;
DStrue“=运输100毫微秒后没有DStrue;

-运输算法
DStrue_fast“=后35纳秒运输DStrue;
DStrue_slow“=运输DStrue在60纳秒;
DStrue_delay“= DStrue_fast其他DStrue_slow时快;

结束;<img src="http://www.edaboard.com/images/smiles/icon_wink.gif" alt="眨眼" border="0" />
 

Welcome to EDABoard.com

Sponsor

Back
Top