的DesignWare问题与设计编译器

N

nkef

Guest
余instanciated的“*”在下列实体符号倍增

图书馆电机及电子学工程师联合会;
使用IEEE.std_logic_1164.all;
使用ieee.std_logic_arith.all;

实体乘法器是
通用(inst_A_width:整数:= 8;
inst_B_width:整数:= 8
);
端口(1:在std_logic_vector(inst_A_width - 1 downto 0);
乙:std_logic_vector(inst_B_width - 1 downto 0);
p:出std_logic_vector(inst_A_width inst_B_width - 1 downto 0));
结束乘法器;

架构乘法器行为是
开始
p“=无符号(1)*符号(二);
为此行为;

我编译的设计Compilere它,就都确定。
之后
, 当我运行命令的链接,我碰到下面的错误。

design_vision - T的>“链接

连接设计'mult_dw'
使用下面的设计和库:
-------------------------------------------------- ------------------------
典型(库)/ usr/eda/libraries/tsmc013/synopsys/typical.db
dw_foundation.sldb(库)/ usr /埃达/思/综合征/图书馆/综合征/ dw_foundation.sldb

警告:无法参照'mult_dw_DW02_mult_8_8_0'在'的决心mult_dw'。(链接- 5)
0

或当我尝试出口的VHDL格式
design_vision - T的“写层次格式的VHDL输出mult_synthed.vhd
警告:有一个与新思科技数据库和输出文件的数据差异。(VHDL语言- 286)
警告:有一个与新思科技数据库和输出数据差异
...

我创建了一个简单的dc_setup文件来调查这一问题:
设置search_path [列表/ usr/eda/libraries/tsmc013/synopsys \
/ usr/eda/libraries/tsmc013/symbols/synopsys / usr/eda/libraries/tsmc013/vhdl $ search_path]
设置target_library [列表typical.db]
设置link_library [列表(*)typical.db]
设置symbol_library [列表tsmc13.sdb]

#的DesignWare库
设置synthetic_library [列表dw_foundation.sldb]
设置link_library [Concat的$ target_library $ synthetic_library]
设置search_path [Concat的$ search_path [名单\
[格式%硫%新元synopsys_root /干重/ sim_ver]]]
设置synlib_wait_for_design_license [列表的DesignWare]

图书馆的DesignWare配置我是从它的DesignWare用户指南。
此外
, 我验证了的DesignWare许可证启用。

我一无所知

<img src="http://www.edaboard.com/images/smiles/icon_cry.gif" alt="哭或很伤心" border="0" />


你的帮助非常感谢。

 

Welcome to EDABoard.com

Sponsor

Back
Top